串行进串行出(SISO)移位寄存器

串行进串行出(SISO)移位寄存器是一种移位寄存器,其中数据加载和数据检索/从移位寄存器发生在大幅度。图1显示了一个n位同步器输出移位寄存器对时钟脉冲的正边缘敏感。在这里,要存储的数据字是在第一个输入处逐位输入的触发器.进一步,可以看到所有其他触发器的输入(除了第一个触发器FF1)由前面的输出驱动,例如,FF的输入2是由FF输出驱动的1.最后,在n的输出引脚处获得存储在寄存器内的数据th触发器在串行方式。

N位右移串行进串行出移位寄存器
最初,寄存器中的所有触发器都是通过在其清除引脚上施加高电平来清除的。接下来,输入的数据字被串行地馈送给FF1
这导致位出现在D1销(B1)存入FF1只要时钟的第一个前沿出现。在第二个时钟滴答声中,B1存储到FF中2而一个新的比特进入FF1(B2).

这种数据位的移位随着时钟脉冲的每一个上升边缘而持续。这表明对于每一个时钟脉冲,寄存器中的数据向右移动一个比特。因此,图1所示的设计被视为右移输出移位寄存器.在上述数据传输之后,可以注意到输入字的第一个比特出现在n的输出处thn的触发器th时钟周期。在应用进一步的时钟周期,一个得到下一个连续位的输入数据字作为串行输出(表I)。波形属于相同的显示如图2。

数据移动在右移和左移
n位右移siso移位寄存器的输出波形

类似于显示的右移SISO移位寄存器,也可以存在左移输出移位寄存器然而,其工作原理是相同的,除了数据移动将从右向左。
n位左移串行进串行出移位寄存器

想学得更快?
每周让电子文章发送到你的收件箱。
不需要信用卡——它是100%免费的。

关于Elec英雄联盟菠菜apptrical4U

英雄联盟菠菜appElectrical4U致力于电气和电子工程相关的教学和分享。

留下你的评论